aboutsummaryrefslogtreecommitdiffstats
path: root/dot_product/student_files_2015[2]/student_files_2015/prj2/quartus_proj/DE0_CAMERA_MOUSE/DE0_D5M.qsf.bak
diff options
context:
space:
mode:
Diffstat (limited to 'dot_product/student_files_2015[2]/student_files_2015/prj2/quartus_proj/DE0_CAMERA_MOUSE/DE0_D5M.qsf.bak')
-rw-r--r--dot_product/student_files_2015[2]/student_files_2015/prj2/quartus_proj/DE0_CAMERA_MOUSE/DE0_D5M.qsf.bak571
1 files changed, 0 insertions, 571 deletions
diff --git a/dot_product/student_files_2015[2]/student_files_2015/prj2/quartus_proj/DE0_CAMERA_MOUSE/DE0_D5M.qsf.bak b/dot_product/student_files_2015[2]/student_files_2015/prj2/quartus_proj/DE0_CAMERA_MOUSE/DE0_D5M.qsf.bak
deleted file mode 100644
index 8404697..0000000
--- a/dot_product/student_files_2015[2]/student_files_2015/prj2/quartus_proj/DE0_CAMERA_MOUSE/DE0_D5M.qsf.bak
+++ /dev/null
@@ -1,571 +0,0 @@
-# Copyright (C) 1991-2007 Altera Corporation
-# Your use of Altera Corporation's design tools, logic functions
-# and other software and tools, and its AMPP partner logic
-# functions, and any output files from any of the foregoing
-# (including device programming or simulation files), and any
-# associated documentation or information are expressly subject
-# to the terms and conditions of the Altera Program License
-# Subscription Agreement, Altera MegaCore Function License
-# Agreement, or other applicable license agreement, including,
-# without limitation, that your use is for the sole purpose of
-# programming logic devices manufactured by Altera and sold by
-# Altera or its authorized distributors. Please refer to the
-# applicable agreement for further details.
-
-
-# The default values for assignments are stored in the file
-# DE0_D5M_assignment_defaults.qdf
-# If this file doesn't exist, and for assignments not listed, see file
-# assignment_defaults.qdf
-
-# Altera recommends that you do not modify this file. This
-# file is updated automatically by the Quartus II software
-# and any changes you make may be lost or overwritten.
-
-
-set_global_assignment -name FAMILY "Cyclone III"
-set_global_assignment -name DEVICE EP3C16F484C6
-set_global_assignment -name TOP_LEVEL_ENTITY TOP_DE0_CAMERA_MOUSE
-set_global_assignment -name ORIGINAL_QUARTUS_VERSION "7.2 SP3"
-set_global_assignment -name PROJECT_CREATION_TIME_DATE "14:14:24 APRIL 30, 2008"
-set_global_assignment -name LAST_QUARTUS_VERSION 13.1
-set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_palace
-
-
-
-#set_instance_assignment -name CLOCK_SETTINGS CLK50 -to CLOCK_50
-
-
-
-
-
-
-
-
-set_location_assignment PIN_V7 -to GPIO_1[31]
-set_location_assignment PIN_V6 -to GPIO_1[30]
-set_location_assignment PIN_U8 -to GPIO_1[29]
-set_location_assignment PIN_Y7 -to GPIO_1[28]
-set_location_assignment PIN_T9 -to GPIO_1[27]
-set_location_assignment PIN_U9 -to GPIO_1[26]
-set_location_assignment PIN_T10 -to GPIO_1[25]
-set_location_assignment PIN_U10 -to GPIO_1[24]
-set_location_assignment PIN_R12 -to GPIO_1[23]
-set_location_assignment PIN_R11 -to GPIO_1[22]
-set_location_assignment PIN_T12 -to GPIO_1[21]
-set_location_assignment PIN_U12 -to GPIO_1[20]
-set_location_assignment PIN_R14 -to GPIO_1[19]
-set_location_assignment PIN_T14 -to GPIO_1[18]
-set_location_assignment PIN_AB7 -to GPIO_1[17]
-set_location_assignment PIN_AA7 -to GPIO_1[16]
-set_location_assignment PIN_AA9 -to GPIO_1[15]
-set_location_assignment PIN_AB9 -to GPIO_1[14]
-set_location_assignment PIN_V15 -to GPIO_1[13]
-set_location_assignment PIN_W15 -to GPIO_1[12]
-set_location_assignment PIN_T15 -to GPIO_1[11]
-set_location_assignment PIN_U15 -to GPIO_1[10]
-set_location_assignment PIN_W17 -to GPIO_1[9]
-set_location_assignment PIN_Y17 -to GPIO_1[8]
-set_location_assignment PIN_AB17 -to GPIO_1[7]
-set_location_assignment PIN_AA17 -to GPIO_1[6]
-set_location_assignment PIN_AA18 -to GPIO_1[5]
-set_location_assignment PIN_AB18 -to GPIO_1[4]
-set_location_assignment PIN_AB19 -to GPIO_1[3]
-set_location_assignment PIN_AA19 -to GPIO_1[2]
-set_location_assignment PIN_AB20 -to GPIO_1[1]
-set_location_assignment PIN_AA20 -to GPIO_1[0]
-
-set_location_assignment PIN_AA11 -to GPIO_1_CLKIN[1]
-set_location_assignment PIN_AB11 -to GPIO_1_CLKIN[0]
-
-set_location_assignment PIN_T16 -to GPIO_1_CLKOUT[1]
-set_location_assignment PIN_R16 -to GPIO_1_CLKOUT[0]
-
-
-set_location_assignment PIN_D2 -to SW[9]
-set_location_assignment PIN_E4 -to SW[8]
-set_location_assignment PIN_E3 -to SW[7]
-set_location_assignment PIN_H7 -to SW[6]
-set_location_assignment PIN_J7 -to SW[5]
-set_location_assignment PIN_G5 -to SW[4]
-set_location_assignment PIN_G4 -to SW[3]
-set_location_assignment PIN_H6 -to SW[2]
-set_location_assignment PIN_H5 -to SW[1]
-set_location_assignment PIN_J6 -to SW[0]
-
-
-set_location_assignment PIN_H2 -to KEY[0]
-set_location_assignment PIN_G3 -to KEY[1]
-set_location_assignment PIN_F1 -to KEY[2]
-
-
-set_location_assignment PIN_B1 -to LEDG[9]
-set_location_assignment PIN_B2 -to LEDG[8]
-set_location_assignment PIN_C2 -to LEDG[7]
-set_location_assignment PIN_C1 -to LEDG[6]
-set_location_assignment PIN_E1 -to LEDG[5]
-set_location_assignment PIN_F2 -to LEDG[4]
-set_location_assignment PIN_H1 -to LEDG[3]
-set_location_assignment PIN_J3 -to LEDG[2]
-set_location_assignment PIN_J2 -to LEDG[1]
-set_location_assignment PIN_J1 -to LEDG[0]
-
-
-
-
-set_location_assignment PIN_E11 -to HEX0[0]
-set_location_assignment PIN_F11 -to HEX0[1]
-set_location_assignment PIN_H12 -to HEX0[2]
-set_location_assignment PIN_H13 -to HEX0[3]
-set_location_assignment PIN_G12 -to HEX0[4]
-set_location_assignment PIN_F12 -to HEX0[5]
-set_location_assignment PIN_F13 -to HEX0[6]
-set_location_assignment PIN_D13 -to HEX0_DP
-
-set_location_assignment PIN_A15 -to HEX1[6]
-set_location_assignment PIN_E14 -to HEX1[5]
-set_location_assignment PIN_B14 -to HEX1[4]
-set_location_assignment PIN_A14 -to HEX1[3]
-set_location_assignment PIN_C13 -to HEX1[2]
-set_location_assignment PIN_B13 -to HEX1[1]
-set_location_assignment PIN_A13 -to HEX1[0]
-set_location_assignment PIN_B15 -to HEX1_DP
-
-set_location_assignment PIN_F14 -to HEX2[6]
-set_location_assignment PIN_B17 -to HEX2[5]
-set_location_assignment PIN_A17 -to HEX2[4]
-set_location_assignment PIN_E15 -to HEX2[3]
-set_location_assignment PIN_B16 -to HEX2[2]
-set_location_assignment PIN_A16 -to HEX2[1]
-set_location_assignment PIN_D15 -to HEX2[0]
-set_location_assignment PIN_A18 -to HEX2_DP
-
-set_location_assignment PIN_G15 -to HEX3[6]
-set_location_assignment PIN_D19 -to HEX3[5]
-set_location_assignment PIN_C19 -to HEX3[4]
-set_location_assignment PIN_B19 -to HEX3[3]
-set_location_assignment PIN_A19 -to HEX3[2]
-set_location_assignment PIN_F15 -to HEX3[1]
-set_location_assignment PIN_B18 -to HEX3[0]
-set_location_assignment PIN_G16 -to HEX3_DP
-
-
-
-set_location_assignment PIN_G21 -to CLOCK_50
-
-set_location_assignment PIN_R21 -to PS2_CLK
-set_location_assignment PIN_R22 -to PS2_DAT
-
-#set_location_assignment PIN_F14 -to UART_RXD
-#set_location_assignment PIN_G12 -to UART_TXD
-
-set_location_assignment PIN_J21 -to VGA_G[3]
-set_location_assignment PIN_K17 -to VGA_G[2]
-set_location_assignment PIN_J17 -to VGA_G[1]
-set_location_assignment PIN_H22 -to VGA_G[0]
-set_location_assignment PIN_L21 -to VGA_HS
-set_location_assignment PIN_L22 -to VGA_VS
-set_location_assignment PIN_H21 -to VGA_R[3]
-set_location_assignment PIN_H20 -to VGA_R[2]
-set_location_assignment PIN_H17 -to VGA_R[1]
-set_location_assignment PIN_H19 -to VGA_R[0]
-set_location_assignment PIN_K18 -to VGA_B[3]
-set_location_assignment PIN_J22 -to VGA_B[2]
-set_location_assignment PIN_K21 -to VGA_B[1]
-set_location_assignment PIN_K22 -to VGA_B[0]
-
-set_location_assignment PIN_G7 -to DRAM_CS_N
-set_location_assignment PIN_E5 -to DRAM_CLK
-set_location_assignment PIN_E6 -to DRAM_CKE
-set_location_assignment PIN_B5 -to DRAM_BA_0
-set_location_assignment PIN_A4 -to DRAM_BA_1
-set_location_assignment PIN_E7 -to DRAM_LDQM
-set_location_assignment PIN_B8 -to DRAM_UDQM
-set_location_assignment PIN_F7 -to DRAM_RAS_N
-set_location_assignment PIN_G8 -to DRAM_CAS_N
-set_location_assignment PIN_D6 -to DRAM_WE_N
-
-set_location_assignment PIN_F10 -to DRAM_DQ[15]
-set_location_assignment PIN_E10 -to DRAM_DQ[14]
-set_location_assignment PIN_A10 -to DRAM_DQ[13]
-set_location_assignment PIN_B10 -to DRAM_DQ[12]
-set_location_assignment PIN_C10 -to DRAM_DQ[11]
-set_location_assignment PIN_A9 -to DRAM_DQ[10]
-set_location_assignment PIN_B9 -to DRAM_DQ[9]
-set_location_assignment PIN_A8 -to DRAM_DQ[8]
-set_location_assignment PIN_F8 -to DRAM_DQ[7]
-set_location_assignment PIN_H9 -to DRAM_DQ[6]
-set_location_assignment PIN_G9 -to DRAM_DQ[5]
-set_location_assignment PIN_F9 -to DRAM_DQ[4]
-set_location_assignment PIN_E9 -to DRAM_DQ[3]
-set_location_assignment PIN_H10 -to DRAM_DQ[2]
-set_location_assignment PIN_G10 -to DRAM_DQ[1]
-set_location_assignment PIN_D10 -to DRAM_DQ[0]
-
-set_location_assignment PIN_C8 -to DRAM_ADDR[12]
-set_location_assignment PIN_A7 -to DRAM_ADDR[11]
-set_location_assignment PIN_B4 -to DRAM_ADDR[10]
-set_location_assignment PIN_B7 -to DRAM_ADDR[9]
-set_location_assignment PIN_C7 -to DRAM_ADDR[8]
-set_location_assignment PIN_A6 -to DRAM_ADDR[7]
-set_location_assignment PIN_B6 -to DRAM_ADDR[6]
-set_location_assignment PIN_C6 -to DRAM_ADDR[5]
-set_location_assignment PIN_A5 -to DRAM_ADDR[4]
-set_location_assignment PIN_C3 -to DRAM_ADDR[3]
-set_location_assignment PIN_B3 -to DRAM_ADDR[2]
-set_location_assignment PIN_A3 -to DRAM_ADDR[1]
-set_location_assignment PIN_C4 -to DRAM_ADDR[0]
-
-
-set_location_assignment PIN_B12 -to CLOCK_50_2
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-set_global_assignment -name SMART_RECOMPILE ON
-set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
-set_global_assignment -name USE_CONFIGURATION_DEVICE ON
-set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO"
-set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "AS INPUT TRI-STATED"
-
-
-set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
-set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
-set_global_assignment -name DEVICE_FILTER_PIN_COUNT 484
-set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 6
-
-set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
-set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
-set_global_assignment -name VERILOG_FILE catapult_ip/rtl_mgc_ioport_v2001.v
-set_global_assignment -name VERILOG_FILE catapult_ip/rtl_mgc_ioport.v
-set_global_assignment -name VERILOG_FILE catapult_ip/rtl.v
-set_global_assignment -name VERILOG_FILE V/ps2.v
-set_global_assignment -name SOURCE_FILE Sdram_Control_4Port/Sdram_Params.h
-set_global_assignment -name VERILOG_FILE Sdram_Control_4Port/command.v
-set_global_assignment -name VERILOG_FILE Sdram_Control_4Port/control_interface.v
-set_global_assignment -name VERILOG_FILE Sdram_Control_4Port/sdr_data_path.v
-set_global_assignment -name VERILOG_FILE Sdram_Control_4Port/Sdram_Control_4Port.v
-set_global_assignment -name VERILOG_FILE Sdram_Control_4Port/Sdram_FIFO.v
-set_global_assignment -name SOURCE_FILE V/VGA_Param.h
-set_global_assignment -name VERILOG_FILE V/async_receiver.v
-set_global_assignment -name VERILOG_FILE V/CCD_Capture.v
-set_global_assignment -name VERILOG_FILE V/I2C_CCD_Config.v
-set_global_assignment -name VERILOG_FILE V/I2C_Controller.v
-set_global_assignment -name VERILOG_FILE V/Line_Buffer.v
-set_global_assignment -name VERILOG_FILE V/RAW2RGB.v
-set_global_assignment -name VERILOG_FILE V/Reset_Delay.v
-set_global_assignment -name VERILOG_FILE V/sdram_pll.v
-set_global_assignment -name VERILOG_FILE V/SEG7_LUT.v
-set_global_assignment -name VERILOG_FILE V/SEG7_LUT_8.v
-set_global_assignment -name VERILOG_FILE V/VGA_Controller.v
-set_global_assignment -name VERILOG_FILE DE0_D5M.v
-set_global_assignment -name SDC_FILE DE0_D5M.sdc
-set_global_assignment -name BDF_FILE V/TOP_DE0_CAMERA_MOUSE.bdf
-
-set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
-set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
-set_global_assignment -name PARTITION_COLOR 14622752 -section_id Top
-set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
-set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
-
-
-set_instance_assignment -name TCO_REQUIREMENT "1 ns" -from * -to "CCD_Capture:u3|mCCD_DATA"
-set_instance_assignment -name TCO_REQUIREMENT "1 ns" -from * -to "CCD_Capture:u3|Pre_FVAL"
-set_instance_assignment -name TCO_REQUIREMENT "1 ns" -from * -to "CCD_Capture:u3|mCCD_LVAL"
-set_instance_assignment -name TSU_REQUIREMENT "4 ns" -from * -to rCCD_DATA
-set_instance_assignment -name TSU_REQUIREMENT "4 ns" -from * -to rCCD_FVAL
-set_instance_assignment -name TSU_REQUIREMENT "4 ns" -from * -to rCCD_LVAL
-set_instance_assignment -name FAST_INPUT_REGISTER ON -to rCCD_DATA
-set_instance_assignment -name FAST_INPUT_REGISTER ON -to rCCD_LVAL
-set_instance_assignment -name FAST_INPUT_REGISTER ON -to rCCD_FVAL
-set_instance_assignment -name CLOCK_SETTINGS CCD_PIXCLK -to GPIO_1_CLKIN[0]
-set_instance_assignment -name CLOCK_SETTINGS CCD_MCLK -to GPIO_1_CLKOUT[0]
-set_instance_assignment -name TCO_REQUIREMENT "1 ns" -from * -to DRAM_DQ
-set_instance_assignment -name TSU_REQUIREMENT "1 ns" -from DRAM_DQ -to *
-
-
-
-
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[32]
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[33]
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[34]
-set_instance_assignment -name IO_STANDARD LVTTL -to GPIO_1[35]
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[0]
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[1]
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[2]
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[3]
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[4]
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[5]
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX0[6]
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[0]
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[1]
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[2]
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[3]
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[4]
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[5]
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX1[6]
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[0]
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[1]
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[2]
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[3]
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[4]
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[5]
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX2[6]
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[0]
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[1]
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[2]
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[3]
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[4]
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[5]
-set_instance_assignment -name IO_STANDARD LVTTL -to HEX3[6]
-set_instance_assignment -name IO_STANDARD LVTTL -to KEY[0]
-set_instance_assignment -name IO_STANDARD LVTTL -to KEY[1]
-set_instance_assignment -name IO_STANDARD LVTTL -to KEY[2]
-set_instance_assignment -name IO_STANDARD LVTTL -to KEY[3]
-set_instance_assignment -name IO_STANDARD LVTTL -to I2C_SCLK
-set_instance_assignment -name IO_STANDARD LVTTL -to I2C_SDAT
-set_instance_assignment -name IO_STANDARD LVTTL -to AUD_ADCLRCK
-set_instance_assignment -name IO_STANDARD LVTTL -to AUD_ADCDAT
-set_instance_assignment -name IO_STANDARD LVTTL -to AUD_DACLRCK
-set_instance_assignment -name IO_STANDARD LVTTL -to AUD_DACDAT
-set_instance_assignment -name IO_STANDARD LVTTL -to AUD_XCK
-set_instance_assignment -name IO_STANDARD LVTTL -to AUD_BCLK
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to BUTTON[2]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to BUTTON[1]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to BUTTON[0]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[2]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[3]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[4]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[5]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[6]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[7]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[8]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[9]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[10]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[11]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[12]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[13]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[14]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[15]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CS_N
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CLK
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CKE
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CAS_N
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA_1
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA_0
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[0]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[1]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[2]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[3]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[4]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[5]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[6]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[7]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[8]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[9]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[10]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[11]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[12]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK_50_2
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK_50
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_CE_N
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_BYTE_N
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[0]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[1]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[2]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[3]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[4]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[5]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[6]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[7]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[8]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[9]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[10]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[11]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[12]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[13]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[14]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[15]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[16]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[17]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[18]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[19]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[20]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[21]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_WE_N
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_UDQM
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_RAS_N
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_LDQM
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[0]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[1]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_CLKOUT[0]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_CLKOUT[1]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_CLKIN[0]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO1_CLKIN[1]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[28]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[29]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[30]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[31]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_CLKOUT[0]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_CLKOUT[1]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_CLKIN[0]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO0_CLKIN[1]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_WP_N
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_WE_N
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_RY
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_RST_N
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_OE_N
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ15_AM1
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[0]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[1]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[2]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[3]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[4]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[5]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[6]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[7]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[8]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[9]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[10]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[11]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[12]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[13]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[14]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0_D[2]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0_D[3]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0_D[4]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0_D[5]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0_D[6]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[0]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[1]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[2]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[3]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[4]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[5]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[6]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[7]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[8]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[9]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[10]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[11]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[12]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[13]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[14]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[15]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[16]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[17]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[18]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[19]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[20]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[21]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[22]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[23]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[24]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[25]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[26]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO_1[27]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[3]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[4]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[5]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[6]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[7]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_BLON
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3_DP
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3_D[0]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3_D[1]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3_D[2]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3_D[3]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3_D[4]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3_D[5]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3_D[6]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2_DP
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2_D[0]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2_D[1]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2_D[2]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2_D[3]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2_D[4]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2_D[5]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2_D[6]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1_DP
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1_D[0]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1_D[1]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1_D[2]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1_D[3]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1_D[4]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1_D[5]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1_D[6]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0_DP
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0_D[0]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0_D[1]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_CTS
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[0]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[1]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[2]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[3]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[4]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[5]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[6]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[7]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[8]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[9]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_WP_N
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DAT3
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DAT0
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_CMD
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_CLK
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_DAT
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_CLK
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_KBDAT
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_KBCLK
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[0]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[1]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[2]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[3]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[4]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[5]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[6]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[7]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[8]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDG[9]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_RW
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_RS
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_EN
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[0]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[1]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[2]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_VS
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[0]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[1]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[2]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[3]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_HS
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[0]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[1]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[2]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[3]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[0]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[1]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[2]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[3]
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_TXD
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_RXD
-set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_RTS
-
-
-set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file